У меня есть следующее свойство:
property p_0;
$rose(signal_a) |-> $rose(signal_b) ;
endproperty
моя проблема в том, что после HW RST сигнал_b повышается (нормальное поведение), но утверждение не выполняется, и я хочу, чтобы эта проверка оценивалась только позже.
Я хотел работать с first_match() примерно так:
p_0_a : assert property ( ! first_match(p_0)) else `uvm_fatal(...)
поэтому я пропускаю первое совпадение этого свойства, но компилятор выдает синтаксическую ошибку.
Есть ли способ пропустить оценку SVA после определенного количества итераций?
Спасибо
signal_b
, почему это может привести к сбою утверждения? Тест на ростsignal_b
находится в следствии, а не в антецеденте, поэтому ростsignal_b
в какое-то другое время никогда не должен приводить к ошибке вашего утверждения. Вы имеете в виду, что после HW RST сигнал_a повышается (нормальное поведение)? - person Matthew Taylor   schedule 26.03.2018signal_b
без утвержденияsignal_a
. Сообщение об ошибке похоже на Неожиданный нарастающий фронт сигнала_b. Итак, я упростил свойство только ради вопроса. - person El_Gahaf   schedule 26.03.2018