Мой код утверждения выглядит следующим образом:
property p_crossbar_halted_chk12;
@(posedge `SOG_REST_SCOPE.clk)
$rose(`SOG_REST_SCOPE.crossbar_halted)
|-> ##[0:1] $rose(`SOG_REST_SCOPE.last_cycle)
|-> ##[0:1] $fell(`SOG_REST_SCOPE.last_cycle);
endproperty
ASSERT_P_CROSSBAR_HALTED_CHK12: assert property(p_crossbar_halted_chk12);
И он продолжает выдавать ошибку компиляции, говоря
ASSERT_P_CROSSBAR_HALTED_CHK12 свойство утверждения (p_crossbar_halted_chk12) Имя экземпляра не является допустимым значением r [7.1 (IEEE)]
p_crossbar_halted_chk12
. - person Matthew Taylor   schedule 22.06.2018