Воссоздание проекта Vivado из файла TCL без копирования исходников

Я использовал write_project_tcl для создания сценария TCL из проекта Vivado, который затем можно использовать для воссоздания структуры проекта. Если я запускаю сценарий через vivado -mode batch, он создает структуру каталогов и копирует исходные файлы HDL в эти вновь созданные каталоги. Вот соответствующая часть дерева каталогов:

$ tree SpaceInvaders.srcs/sources_1/imports/
SpaceInvaders.srcs/sources_1/imports/
└── _build-vivado
    ├── clash-syn
    │   └── verilog
    │       └── SpaceInvaders
    │           └── SpaceInvaders
    │               ├── SpaceInvaders.v
    │               ├── image.hex
    │               ├── null-SpaceInvaders-0-1024x8.hex
    │               └── null-SpaceInvaders-1-7168x8.hex
    └── xilinx-vivado
        └── src-hdl
            └── Top.v

Теперь я хотел бы изменить сценарий, чтобы исходные файлы не копировались; вместо этого я хотел бы, чтобы сгенерированный файл проекта .xpr ссылался на них в их исходном местоположении.

Часть сценария TCL, которая в настоящее время копирует исходники, - это этот бит:

# Set 'sources_1' fileset object
set obj [get_filesets sources_1]
# Import local files from the original project
set files [list \
 [file normalize "${origin_dir}/src-hdl/Top.v" ]\
 [file normalize "${origin_dir}/../clash-syn/verilog/SpaceInvaders/SpaceInvaders/SpaceInvaders.v" ]\
 [file normalize "${origin_dir}/../clash-syn/verilog/SpaceInvaders/SpaceInvaders/image.hex" ]\
 [file normalize "${origin_dir}/../clash-syn/verilog/SpaceInvaders/SpaceInvaders/null-SpaceInvaders-0-1024x8.hex" ]\
 [file normalize "${origin_dir}/../clash-syn/verilog/SpaceInvaders/SpaceInvaders/null-SpaceInvaders-1-7168x8.hex" ]\
]
set imported_files [import_files -fileset sources_1 $files]

# Set 'sources_1' fileset file properties for remote files
# None

# Set 'sources_1' fileset file properties for local files
# None

# Set 'sources_1' fileset properties
set obj [get_filesets sources_1]
set_property -name "top" -value "Top" -objects $obj

# Set 'sources_1' fileset object
set obj [get_filesets sources_1]
# Import local files from the original project
set files [list \
 [file normalize "${origin_dir}/ip/ClockWiz25.xci" ]\
]
set imported_files [import_files -fileset sources_1 $files]

# Set 'sources_1' fileset file properties for remote files
# None

# Set 'sources_1' fileset file properties for local files
set file "ClockWiz25/ClockWiz25.xci"
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "generate_files_for_reference" -value "0" -objects $file_obj
set_property -name "registered_with_manager" -value "1" -objects $file_obj
if { ![get_property "is_locked" $file_obj] } {
  set_property -name "synth_checkpoint_mode" -value "Singular" -objects $file_obj
}

Основываясь на этом комментарии в файле, я предполагаю, что это будет «удаленный источник», который я хотел бы использовать?


person Cactus    schedule 31.07.2019    source источник


Ответы (1)


Мне удалось это сделать, заменив строки вроде

set imported_files [import_files -fileset sources_1 $files]

с участием

add_files -norecurse -fileset $obj $files

При этом ссылки на файлы в итоговом файле проекта указываются по их исходному пути.

person Cactus    schedule 01.08.2019