Недавно я запустил System Verilog и немного ошеломлен синтаксической ошибкой. Учитывая следующий модуль:
test.sv :
module test(
input logic clk,
output logic out );
always_ff @(posedge clk) begin
out = 1'b1;
end
endmodule
При компиляции с iverilog -g2012 test.sv
генерируется простой syntax-error
для строки 5 (always_ff). Я не знаю, почему это происходит, поскольку мой синтаксис кажется правильным.