Неверный экземпляр модуля

Я пытаюсь взять ввод с плавающей запятой и разделить его на значения знака, мантиссы и экспоненты. В строках 7 и 8 мой компилятор (я использую Icarus Verilog) выдает ошибку:

Неверный экземпляр модуля

хотя я не создал здесь ни одного модуля.

module test(Input, exp, sign, mant);
  input [31:0]Input;
  output [7:0]exp;
  output sign;
  output [22:0]mant;

  mant = Input[22:0];
  exp = Input[30:23];
  sign = Input[31];
endmodule

person Sandeep Daniel    schedule 04.12.2020    source источник


Ответы (1)


Вам нужно использовать ключевое слово assign для выполнения непрерывных назначений:

module test(Input, exp, sign, mant);
  input [31:0]Input;
  output [7:0]exp;
  output sign;
  output [22:0]mant;

  assign mant = Input[22:0];
  assign exp = Input[30:23];
  assign sign = Input[31];
endmodule
person toolic    schedule 04.12.2020