Вопросы по теме 'cocotb'

моделирование cocotb с помощью Aldec
Я провожу несколько тестов инструментов EDA с помощью cocotb в качестве тестового стенда. Я без проблем запускаю симуляции с icarus и verilator, однако с Aldec у меня проблема с runim.tcl. Вывод консоли: Welcome to VSIMSA! This message was...
57 просмотров
schedule 15.03.2022

Как узнать, какой симулятор используется в cocotb testbench?
Чтобы протестировать свой дизайн Verilog, я использую два разных симулятора: Icarus и Verilator . Это работа, но между ними есть некоторые различия. Например, я не могу прочитать параметр модуля с помощью verilator, но Icarus работает. Есть ли...
102 просмотров
schedule 06.02.2023

Возможная ошибка Riviera PRO и cocotb (невозможно найти libcocotbvhpi.dll)
Я работаю с pyhton 3.7, Aldec Riviera Pro 2017, cocotb 1.3 и MSYS2. Когда я запускаю этот тест на jenkins и на удаленном ПК, у меня возникает эта проблема. Мой файл журнала выглядит так: VHPI: Loading library...
30 просмотров
schedule 29.02.2024