Тестируете мой HDL-код (Verilog / VHDL) без FPGA?

Я написал модуль на Verilog, используя vi в качестве редактора, и теперь хочу его протестировать. Что делать, если у меня нет доски? Как я могу ввести данные для моего модуля? Где посмотреть результаты? Кстати, у меня есть доступ к VCS.

Спасибо.


person Ryan    schedule 30.10.2012    source источник
comment
Вы должны быть уверены, что ваш код можно синтезировать. Затем проверьте работоспособность design = testbench. lint инструмент может быть хорошей вещью, которую вы хотите.   -  person Khanh N. Dang    schedule 30.10.2012


Ответы (2)


Вы наверняка ищете симулятор.

Во-первых, вам нужно написать тестовую среду, которая будет обертывать ваш модуль Verilog и управлять входными сигналами. Этот тестовый стенд также может проверить, соответствует ли вывод вашего модуля ожидаемому результату. Вы можете найти множество руководств по написанию тестовых стендов в Интернете.

Этот тестовый стенд и ваш модуль затем «выполняются» в симуляторе. Я не знаком со всеми вариантами, но знаю, что бесплатный Xilinx ISE Web Pack включает симулятор. Modelsim - это коммерческий пакет. Они также предлагают бесплатную студенческую версию.

person Vortexfive    schedule 30.10.2012
comment
@Ryan Если вы предпочитаете бесплатный (открытый) симулятор, я рекомендую GHDL. Я использовал его в нескольких небольших проектах, и он очень хорошо работает. - person Peter Bennett; 30.10.2012

Для всех, у кого есть такой же вопрос, я нашел учебное пособие по тестовой среде, подобное предложенному Vortexfive, по ссылке ниже:

http://www.asic-world.com/verilog/art_testbench_writing.html

person Ryan    schedule 30.10.2012