Использование часов на BASYS 3

Я хочу использовать часы BASYS 3 для своего проекта. Когда я искал ограничение проекта, я нашел следующий код:

set_property PACKAGE_PIN W5 [get_ports clk] 
set_property IOSTANDARD LVCMOS33 [get_ports clk] 
create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clk]

Может кто-нибудь мне это объяснить? Я знаю, что clk - это вход для часов, но что мне делать в последней строке? Стоит ли что-то менять? И что значит период 10.00 и waveform{0 5}? Не могли бы вы мне помочь?


person user6210457    schedule 15.04.2016    source источник


Ответы (1)


Эти строки представляют собой ограничения дизайна Xilinx (XDC), которые представляют собой разновидность ограничений дизайна Synopsys (SDC).

Сначала вы кричите, что нужно различать физические ограничения (строка 1-2) и временные ограничения (строка 3). Они требуются на разных этапах процесса проектирования.

set_property PACKAGE_PIN W5 [get_ports clk]

Эти линии соединяют ваш порт верхнего уровня clk с контактом W5.

set_property IOSTANDARD LVCMOS33 [get_ports clk]

Эта строка устанавливает стандарт ввода / вывода, необходимый для временного анализа времени нарастания и спада на выводе, что приводит к временному окну установки / удержания.

create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clk]

Это определяет тактовый сигнал 100 МГц с коэффициентом заполнения 50% для провода clk. Если вам нужно сослаться на эти часы в другом заявлении, вы можете использовать имя sys_clk_pin.

person Paebbels    schedule 15.04.2016