Я хочу использовать часы BASYS 3 для своего проекта. Когда я искал ограничение проекта, я нашел следующий код:
set_property PACKAGE_PIN W5 [get_ports clk]
set_property IOSTANDARD LVCMOS33 [get_ports clk]
create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clk]
Может кто-нибудь мне это объяснить? Я знаю, что clk
- это вход для часов, но что мне делать в последней строке? Стоит ли что-то менять? И что значит период 10.00 и waveform{0 5}
? Не могли бы вы мне помочь?