Вопросы по теме 'active-hdl'

моделирование cocotb с помощью Aldec
Я провожу несколько тестов инструментов EDA с помощью cocotb в качестве тестового стенда. Я без проблем запускаю симуляции с icarus и verilator, однако с Aldec у меня проблема с runim.tcl. Вывод консоли: Welcome to VSIMSA! This message was...
57 просмотров
schedule 15.03.2022

Выходной массив не будет принимать значение регистра массива
В простом модуле я определил регистр 4-битного массива и использую его для присвоения значения выходному 4-битному массиву. Выход действует как 1-битный провод, даже если он был определен как 4-битный массив. `timescale 1ns/1ps module test(input...
42 просмотров
schedule 30.05.2022

Как использовать vsim.exe (asim) в Aldec Active-HDL Student Edition?
Я установил последнюю версию Aldec Active-HDL (Student Edition). Компиляция исходных файлов с помощью vlib.exe и vcom.exe работает так же, как в QuestaSim, но vsim.exe ведет себя по-другому. Более того, vsim.exe не имеет встроенной справки...
752 просмотров
schedule 09.08.2023

просмотр осциллограммы - Active hdl
Я новичок в Verilog и Active-HDL. У меня есть проблема, и я был бы признателен, если бы кто-нибудь мог посоветовать мне по этому поводу. Я не вижу осциллограммы модулей второго уровня в средстве просмотра осциллограмм. Точнее, сигналы в...
100 просмотров
schedule 30.04.2023