Вопросы по теме 'chisel'

Передайте аргумент в testbench во время выполнения
Я новичок в CHISEL. Может ли тестовая среда CHISEL получить переданный аргумент во время выполнения? Например, sbt run --backend c --compile --test --genHarness --dut1 --dut1 должен быть получен тестовой программой в качестве аргумента. Он...
94 просмотров
schedule 10.11.2021

Как получить размер UInt () в долоте?
Может быть, это просто, но я просто не могу найти, как получить битовый размер значения UInt () в Chisel? Я знаю, как задать размер по объявлению: val a = UInt(INPUT, 16) Но есть ли такое свойство, чтобы получить размер «а»: val size =...
882 просмотров
schedule 02.10.2021

Chisel: Resolvers Key в build.sbt
Хотя это фундаментальный вопрос, что ключ резолвера делает в build.sbt. Я только начал изучать, например, chisel3 . resolvers ++= Seq( Resolver.sonatypeRepo("snapshots"), Resolver.sonatypeRepo("releases") )...
61 просмотров
schedule 18.10.2021

Код долота показывает неправильный вывод
Это код для байтового селектора class Comp extends Module { val io = IO(new Bundle { val in = Input(UInt(25.W)) val out = Output(UInt(25.W)) val i = Input(UInt(4.W)) val out0 = Output(UInt(5.W)) val out1 = Output(UInt(5.W)) }) val r8 =...
70 просмотров
schedule 31.10.2021

Что означают аргументы (сайт, здесь, вверху) при создании конфигураций с ракетным чипом?
При создании нового «Config» мы определяем функцию, которая принимает в качестве аргументов три «View» (site, here, up). Что означают эти три взгляда?
233 просмотров
schedule 10.10.2021

Установочно-ассоциативный TLB на Rocket Chip
Я пытаюсь выяснить, поддерживает ли Rocket Chip Generator ассоциативный TLB (как для L1, так и для L2 TLB), но я не могу найти какой-либо простой код об этом в RocketCore.scala или PTW.scala . С другой стороны, изменить ассоциативность кешей в...
131 просмотров
schedule 08.10.2021

Можно ли иметь цикл while в chisel на основе состояния типов данных Chisel?
Вот что я пытаюсь сделать: у меня есть ускоритель Chisel, который вызывает другой ускоритель Chisel и передает значение. Я хочу, чтобы у второго был цикл while, в котором условие частично основано на входном значении. Вот пример кода: class...
210 просмотров
schedule 10.10.2021

Почему ловушка Rocket Chip на FPGA после выполнения кода в DRAM
Я пытаюсь установить и запустить версию Rocket Chip на плате Nexys4 DDR. Пока мне удалось сгенерировать verilog, синтезировать дизайн и прикрепить зонд jtag (segger jlink). Доступ к регистрам и памяти можно получить через openocd и gdb. После...
197 просмотров
schedule 25.02.2022

Неподключенные провода сомнения
Я пытаюсь реализовать модуль для своего проекта. Грубая структура модуля, как показано ниже: class TOP extend Module{ val io = IO(new Bundle {some IO intend to connect to other module }) val queue = Module (new Queue(UInt(32.W), 5) )//I...
57 просмотров
schedule 09.03.2022

передача аргументов бэкэнду верилятора в chisel
Я написал простой тестовый стенд PeekPokeTester в chisel, и он компилируется и успешно запускается с использованием бэкэнда верилятора. но теперь я хочу передать некоторые флаги бэкэнду верилятора. в параметрах драйвера есть опция...
275 просмотров
schedule 04.03.2022

сравнение значения в регистре с int
Я пытаюсь получить значение reg и сравнить его с числом внутри и оператором if val refill_addr = Reg(UInt(width = paddrBits)) if ( refill_addr > 20000.U) cacheable := true else cacheable := false но я получаю эту...
169 просмотров
schedule 08.03.2022

Как использовать uint для извлечения битов
Я определил несколько varabiales, включая post_addra, h_rdata и addra. val post_addra=RegInit(0.U) val addra=RegInit(0.U) val h_rdata=RegInit(0.U) Поскольку post_addra определяется h_rdata и addra. Итак, я пишу этот код. post_addra :=...
179 просмотров
schedule 05.03.2022

Как динамически индексировать регистр с помощью другого регистра в Chisel
Я пишу код Chisel для того, что, как мне сказали, должно быть одним из типов воронки-шифтера. В основном он получает входные данные размером m бит и отправляет выходные данные размером n бит, где m и n могут иметь какие-либо отношения или нет. Я...
38 просмотров
schedule 26.03.2022

почему chisel UInt (32.W) не может принимать беззнаковое число, у которого бит [32] оказывается равным 1?
Определено, что UInt - это тип целого числа без знака. Но в таком случае похоже, что MSB все еще является знаком. например, наиболее относительной проверкой качества является ошибка отрицательного значения Chisel UInt , которая позволяет обходное...
743 просмотров
schedule 13.04.2022

Библиотека Chisel и испытательные стенды
Сейчас я изучаю долото, поэтому у меня много вопросов. Я знаю, что import chsel3._ может добавлять файлы библиотеки Chisel в коды. И я вижу коды долота в учебнике долота, в котором есть import chisel3._ , а также import chisel3.util._ У...
366 просмотров
schedule 18.04.2022

Как использовать Seq с Cat in Chisel?
Я учу долото и ракетную стружку. Чип ракеты имеет код, использующий Seq и Cat в RVC.scala . val funct = Seq(0.U, 4.U, 6.U, 7.U, 0.U, 0.U, 2.U, 3.U)(Cat(x(12), x(6,5))) Но когда я пишу свой код, как указано выше, я получаю ошибку....
426 просмотров
schedule 18.04.2022

Как расширить пакет chisel3 до определенной ширины, значения this.getWidth?
Я переинтерпретирую некоторую структуру пакета chisel3 в другой пакет. сказать, val a = Wire(new BundleA) val b = Wire(new BundleB) b := a.asTypeOf(b) Ширина двух пакетов разная, мне нужно расширить BundleB до ширины BundleA , чтобы...
62 просмотров
schedule 15.04.2022

Ищете хороший момент, чтобы начать настройку источника Chisel для генератора ракетных чипов
Я установил набор инструментов riscv и сгенерировал источник verilog с конфигурациями по умолчанию, используя источник генератора rocketchip в главной ветке по адресу https://github.com/ucb-bar/rocket-chip . Я хочу понять источник chisel, чтобы...
231 просмотров
schedule 26.04.2022

Использование метода клонирования в конструкторах интерфейса Chisel IO
Несколько конструкторов интерфейса ввода-вывода из коллекции процессоров Sodor реализуют собственный метод клонирования. Я изучил использование метода клонирования в Scala, но до сих пор не могу понять, почему именно это делается. (Я не смог найти...
206 просмотров
schedule 15.05.2022

Матричный умножитель с долотом
Я хочу описать матричный множитель с помощью Chisel, но есть некоторые вещи, которые я не понимаю. Во-первых, я нашел этот ответ , содержащий код матричного умножителя 3X5. Я хотел бы обобщить его для любой квадратной матрицы размером до 128X128....
190 просмотров