Вопросы по теме 'icarus'

Невозможно создать "настоящий" массив типов в Verilog
Я пробовал создать массив значений «реального» типа в Icarus Verilog следующим образом: parameter width = 10; shortreal b [width-1:0] = {0.0181,0.0487,0.1227,0.1967,0.2273,0.1967,0.1227,0.0487,0.0181}; Выдает следующую ошибку: error: Cannot...
197 просмотров
schedule 28.11.2021

файл синтаксической ошибки компилятора icarus verilos
Кто-нибудь знает, в каком файле есть грамматика в компиляторе icarus verilog? а также у какого из них есть обработка и печать синтаксических ошибок? Спасибо всем заранее
108 просмотров
schedule 23.03.2022

Выполнение тестов в Gallio / MbUnit завершилось ошибкой; невозможно загрузить Castle DynamicProxy
У меня есть сборка .NET 3.5, на которую было проведено около 4000 тестов MbUnit. Я без проблем запускал их с помощью программы mbunit.cons.exe. Теперь я пытаюсь переключиться на Gallio (mbunit.cons.exe начал взрываться с исключением «нехватки...
902 просмотров

Передача одной строки массива 2d в качестве входных данных для модуля в verilog
Мне было интересно, есть ли способ передать одну строку двумерного массива значений в качестве входных данных для модуля в Verilog. Скажем, мой массив определяется следующим образом: reg[15:0] arr[0:9][0:63]; ... ... mod1 m(...,...
157 просмотров

Рекурсивная функция iverilog вызывает ошибку сегментации
Вот проблемный код: function automatic [31:0] W; input [6:0] param; W = (param<16) ? 32'b0 : W(param-7); endfunction По сути, iverilog (Icarus Verilog) просто выдает ошибку Segmentation fault: 11 vvp svsim . Я попробовал немного...
195 просмотров
schedule 17.09.2022

Не удается скомпилировать код unisim в iverilog
Я пытался скомпилировать ICAP_SPARTAN6.v из библиотек unisim, предоставленных xilinx, используя icarus verilog. Я получаю ошибку компиляции ниже: /opt/Xilinx/14.3/ISE_DS/ISE/verilog/src/unisims/ICAP_SPARTAN6.v:79: syntax error...
782 просмотров
schedule 03.11.2022

$rtoi() не является постоянной системной функцией.
Я хочу установить размер константы для счетчика: localparam MAX_COUNT = ((debounce_per_ms * clk_freq)) + 1; parameter MAX_COUNT_UPPER = $rtoi($floor($log10(MAX_COUNT)/$log10(2))); Это хорошо работает с XST (ise) и с verilator, но в Icarus у...
2341 просмотров
schedule 12.04.2023

SystemVerilog поддержка icarus (компилятор iverilog)
Я использую iverilog на Mac, и у меня проблемы с компиляцией некоторых кодов, содержащих блоки always_ff и always_comb . ModelSim компилирует эти коды без каких-либо проблем. Можно ли настроить iverilog для поддержки блоков always_ff и...
8051 просмотров

Как узнать, какой симулятор используется в cocotb testbench?
Чтобы протестировать свой дизайн Verilog, я использую два разных симулятора: Icarus и Verilator . Это работа, но между ними есть некоторые различия. Например, я не могу прочитать параметр модуля с помощью verilator, но Icarus работает. Есть ли...
102 просмотров
schedule 06.02.2023

Чтение файла в Icarus Verilog
У меня есть файл data.txt, содержащий 1200 строк, каждая из которых представляет собой 16-битную двоичную строку, например следующую. "0001111111000000" Я пытаюсь написать тестовый стенд с использованием Icarus Verilog, который читает каждую...
533 просмотров
schedule 19.01.2024