Вопросы по теме 'rocket-chip'

Что означают аргументы (сайт, здесь, вверху) при создании конфигураций с ракетным чипом?
При создании нового «Config» мы определяем функцию, которая принимает в качестве аргументов три «View» (site, here, up). Что означают эти три взгляда?
233 просмотров
schedule 10.10.2021

Установочно-ассоциативный TLB на Rocket Chip
Я пытаюсь выяснить, поддерживает ли Rocket Chip Generator ассоциативный TLB (как для L1, так и для L2 TLB), но я не могу найти какой-либо простой код об этом в RocketCore.scala или PTW.scala . С другой стороны, изменить ассоциативность кешей в...
131 просмотров
schedule 08.10.2021

Почему ловушка Rocket Chip на FPGA после выполнения кода в DRAM
Я пытаюсь установить и запустить версию Rocket Chip на плате Nexys4 DDR. Пока мне удалось сгенерировать verilog, синтезировать дизайн и прикрепить зонд jtag (segger jlink). Доступ к регистрам и памяти можно получить через openocd и gdb. После...
197 просмотров
schedule 25.02.2022

сравнение значения в регистре с int
Я пытаюсь получить значение reg и сравнить его с числом внутри и оператором if val refill_addr = Reg(UInt(width = paddrBits)) if ( refill_addr > 20000.U) cacheable := true else cacheable := false но я получаю эту...
169 просмотров
schedule 08.03.2022

Как использовать Seq с Cat in Chisel?
Я учу долото и ракетную стружку. Чип ракеты имеет код, использующий Seq и Cat в RVC.scala . val funct = Seq(0.U, 4.U, 6.U, 7.U, 0.U, 0.U, 2.U, 3.U)(Cat(x(12), x(6,5))) Но когда я пишу свой код, как указано выше, я получаю ошибку....
426 просмотров
schedule 18.04.2022

Настройка Rocket Chip
Я хочу построить свой собственный SOC на основе ракетного чипа без использования ROCC (сопроцессора руки). Я проверил этот полезный вопрос: ракетный чип на не zynq FPGA Я искал подробная документация, но я нашел только несколько слайдов с...
456 просмотров
schedule 30.10.2022

что такое wxd в рокеткоре?
В логике обхода ядра ракеты val bypass_sources = IndexedSeq( (Bool(true), UInt(0), UInt(0)), // treat reading x0 as a bypass (ex_reg_valid && ex_ctrl.wxd, ex_waddr, mem_reg_wdata), (mem_reg_valid && mem_ctrl.wxd &&...
122 просмотров
schedule 26.04.2023

Как сгенерировать случайный Scala Int в коде Chisel?
Я пытаюсь реализовать технику прогнозирования пути в ядре RocketChip (по порядку) . Для этого мне нужно получить доступ в каждую сторону отдельно. Вот так выглядит SRAM для тегов после модификации (отдельная SRAM для каждого способа) val...
152 просмотров
schedule 16.02.2023

Что означает это исключение Chisel: Вызвано: chisel3.package $ RebindingException: Попытка переназначения привязки на Reset
Я получил ошибку chisel3.package$RebindingException: Attempted reassignment of binding to Reset(IO in unelaborated TLDebugModule) при попытке изменить модуль (TLDebugModule) с обычного Module на RawModule . Я не уверен, что говорится в этом...
140 просмотров
schedule 30.11.2022