Вопросы по теме 'clock'

бенчмаркинг с точностью до миллисекунды в C ++?
Я действительно не хочу профилировать, потому что мне хотелось провести много разных небольших тестов для разных простых функций. За всю жизнь я не могу найти способ записать количество миллисекунд на C ++, кстати, я использую Linux. Можете ли вы...
6475 просмотров
schedule 02.12.2021

Установка будильника (как в будильнике) с помощью намерений
Начиная с уровня API 9 (2.3) вы можете установить будильник, используя намерение: Intent i = new Intent(AlarmClock.ACTION_SET_ALARM); i.putExtra(AlarmClock.EXTRA_HOUR, 9); i.putExtra(AlarmClock.EXTRA_MINUTES, 37); startActivity(i); Есть ли...
4599 просмотров
schedule 19.11.2021

Перенести код часов javascript из файла HTML во внешний файл .js
Итак, я сделал часы, они отлично работают. Теперь я хочу переместить javascript во внешний файл и связать его с <SCRIPT type="text/javascript" language="JavaScript" src="clock.js" </SCRIPT> Я не могу понять, как поддерживать его в...
4045 просмотров
schedule 13.11.2021

запускать каждую минуту синхронно с системными часами (не работает в Windows Server 2003)
Я пытаюсь запустить таймер каждую минуту синхронно с системными часами (00:01:00, 00:02:00, 00:03:00 и т. Д.). Это мой код. private System.Timers.Timer timer; public frmMain() { timer = new System.Timers.Timer(); timer.AutoReset =...
2851 просмотров
schedule 19.10.2021

как сделать так, чтобы цифровые часы отображали только часы и минуты
У меня есть цифровые часы для активности в моем приложении, и я хочу отображать на них только часы и минуты и не хочу отображать секунды.
4988 просмотров
schedule 27.10.2021

Функция clock () всегда возвращает 0
Возможный дубликат: C clock() функция просто возвращает ноль Я запустил следующий код, чтобы проверить работу функции clock (). Работаю на Ubuntu 12.04. #include <stdio.h> #include <time.h> #include...
9788 просмотров
schedule 20.10.2021

VHDL: создание очень медленных тактовых импульсов на основе очень быстрых тактовых импульсов
(Я бы разместил это в EE, но, похоже, здесь гораздо больше вопросов по VHDL ...) Предпосылки. Я использую ПЛИС Xilinx Spartan-6LX9 с Xilinx ISE 14.4 (веб-пакет). Сегодня я наткнулся на ужасное предупреждение «PhysDesignRules: 372 - Gated...
24420 просмотров
schedule 26.09.2021

Будильник с опцией «Немецкое время» - в JavaScript
Пол здесь. Я новичок в JavaScript и пытаюсь создать будильник, аналогичный описанному в этом вопросе: Почему мой скрипт будильника перестает работать? Рабочая демонстрация от Whakkee: http://www.obviousmatter.com/testso/hours2.html...
237 просмотров
schedule 11.09.2021

Добавление дополнительных минут времени к текущему времени в MATLAB (часовой пояс)
Я хочу добавить переменную Correction к текущему времени, чтобы получить новое время. Вот мой код, чтобы получить текущее время (работает): datestr(now); d = rem(now,1); datestr(d); time = datestr(d, 'HH:MM'); Вот мой код, показывающий,...
828 просмотров
schedule 31.10.2021

Celery --beat на процессах Heroku vs Worker и Clock
У меня есть периодическая задача, которую я выполняю в файле heroku procfile с помощью worker: Procile web: gunicorn voltbe2.wsgi --log-file - --log-level debug worker: celery -A voltbe2 worker --beat -events -loglevel info tasks.py...
4563 просмотров
schedule 01.12.2021

Определение тактовой частоты на ПЛИС Спартан-6
Я работаю, чтобы узнать, как программировать FPGA на VHDL, и хочу знать, как я могу определить правильную частоту моего тактового входа. Я использовал Руководство пользователя оборудования Sp605 , контакт K21 в часах Таблица подключений...
695 просмотров
schedule 07.11.2021

Использование BUFG для управления тактовой нагрузкой
Я пытаюсь работать с пиксельными данными, которые выводятся на микросхему DVI. Используются различные тактовые частоты, потому что регистры микросхемы DVI программируются с использованием I2C (поэтому требуется тактовая частота Микросхему DVI...
6666 просмотров
schedule 14.10.2021

Использование часов на BASYS 3
Я хочу использовать часы BASYS 3 для своего проекта. Когда я искал ограничение проекта, я нашел следующий код: set_property PACKAGE_PIN W5 [get_ports clk] set_property IOSTANDARD LVCMOS33 [get_ports clk] create_clock -add -name sys_clk_pin...
4000 просмотров
schedule 21.11.2021

time.clock () не возвращает время должным образом
Этот код: import time now = time.clock() while now + 5 > time.clock(): print time.clock() time.sleep(1) print "Woke up" возвращает: 0.015718 Woke up 0.015814 Woke up 0.015942 Woke up 0.016107 Woke up 0.01625 Woke up...
1045 просмотров
schedule 24.11.2021

Измерение дрейфа тактовой частоты на сервере Linux, эталонная тактовая частота PTP / NTP
Ребята, У меня есть задача измерить дрейф часов между Linux-сервером и "точным" NTP / PTP-сервером. Есть какие-нибудь предложения по этому поводу? Спасибо
828 просмотров
schedule 07.11.2021

Как менять фоновое изображение тела каждый час?
На моем уроке веб-дизайна нас проинструктировали выполнить недельное упражнение, в котором мы выясним, как творчески подойти к часам, которые показывают время и температуру. Этот класс оценивается по творчеству, мне разрешено и рекомендуется...
1908 просмотров
schedule 13.09.2021

звук pygame не воспроизводится после функции time.sleep ()
Есть идеи, почему приведенный ниже код не воспроизводит звук? Если бы s.play () находился вне функции clock (), он работал бы. import time import pygame pygame.init() s = pygame.mixer.Sound("0614.wav") def clock (): x = input("How long to...
277 просмотров
schedule 23.11.2021

Вызов `clock ()` дает ошибку: неопределенная ссылка на `_times '
Я пытаюсь использовать функцию clock() в своем коде на C ++, но она не работает. Я пробовал добавить #include <time.h> или #include <ctime> , но это не помогло. Я использую Atmel Studio. #include <time.h> int main(void)...
1077 просмотров
schedule 27.10.2021

Уведомление об изменении времени (часы и минуты) в objc
Есть ли лучший способ получать уведомление при изменении времени? Я использую NSTimer , который каждые 0,002 секунды проверяет, изменилось ли время (часы и минуты, а не секунды и миллисекунды), чтобы поддерживать синхронизацию метки в моем...
761 просмотров

Verilog - цифровые часы - Минуты не работают
Я реализую цифровые часы с Verilog. Я считаю clk и отсчитываю секунды. Затем я отправил выходные данные на семисегментный дисплей. Мой второй дисплей работает отлично, но минутный не работает. Иногда это отображается как: первое увеличение 60,...
4787 просмотров
schedule 01.03.2022